HUANET EPON OLT 16 Tashoshi

EPON OLT babban haɗin kai ne da matsakaicin ƙarfin kaset EPON OLT wanda aka ƙera don samun damar masu aiki da cibiyar sadarwar harabar kasuwanci.

Yana bin ka'idodin fasaha na IEEE802.3 ah kuma ya sadu da buƙatun kayan aikin EPON OLT na YD/T 1945-2006 Buƙatun fasaha don samun damar hanyar sadarwa--bisa Ethernet Passive Optical Network (EPON) da buƙatun fasaha na EPON na China telecom EPON 3.0.

OLT yana ba da tashar jiragen ruwa na 16 1000M EPON, 4 * GE SFP, 4 * GE COMBO tashar jiragen ruwa da 2 * 10G SFP don haɓakawa.Tsayin shine kawai 1U don shigarwa mai sauƙi da ajiyar sarari.Yana ɗaukar fasahar ci gaba, yana ba da ingantaccen maganin EPON.Haka kuma, yana adana farashi mai yawa ga masu aiki saboda yana iya tallafawa hanyoyin sadarwar ONU daban-daban.

Bayani

EPON OLT babban haɗin kai ne da matsakaicin ƙarfin kaset EPON OLT wanda aka ƙera don samun damar masu aiki da cibiyar sadarwar harabar kasuwanci.

Yana bin ka'idodin fasaha na IEEE802.3 ah kuma ya sadu da buƙatun kayan aikin EPON OLT na YD/T 1945-2006 Buƙatun fasaha don samun damar hanyar sadarwa--bisa Ethernet Passive Optical Network (EPON) da buƙatun fasaha na EPON na China telecom EPON 3.0.

OLT yana ba da tashar jiragen ruwa na 16 1000M EPON, 4 * GE SFP, 4 * GE COMBO tashar jiragen ruwa da 2 * 10G SFP don haɓakawa.Tsayin shine kawai 1U don shigarwa mai sauƙi da ajiyar sarari.Yana ɗaukar fasahar ci gaba, yana ba da ingantaccen maganin EPON.Haka kuma, yana adana farashi mai yawa ga masu aiki saboda yana iya tallafawa hanyoyin sadarwar ONU daban-daban.

Siffofin

Abu EPON OLT 4/8/16PON
 

 

 

Abubuwan PON

IEEE 802.3ah EPONChina Telecom/Unicom EPON

Matsakaicin nisan watsa PON 20km

Kowace tashar PON tana goyan bayan teh max.1: 64 rabe-rabe

Haɗin kai da saukar da aikin ɓoyayyen ɓoyayyen ɓoyayyiyar sau uku tare da 128Bits

Standard OAM da tsawaita OAM

Haɓaka software na batch na ONU, ƙayyadaddun haɓaka lokaci, haɓakawa na ainihi

PON yana watsawa da bincika karɓar ikon gani

Gano wutar lantarki ta tashar PON

Siffofin L2 MAC MAC Black HolePort MAC iyaka

16k MAC adireshin

VLAN 4k VLAN shigarwar Port-based/MAC-based/protocol/IP subnet-based

QinQ da sassauƙan QinQ (StackedVLAN)

VLAN Swap da VLAN Remark

PVLAN don gane warewa tashar jiragen ruwa da adana albarkatun jama'a-vlan

Farashin GVRP

Itace Mai Fada STP/RSTP/MSTP Gano madauki na nesa
Port Sarrafa bandwidth na gaba-bi-bi-directionTarin haɗin haɗin kai tsaye da LACP

Madubin tashar jiragen ruwa

Features na Tsaro Tsaron mai amfani Anti-ARP-spoofingAnti-ARP- ambaliyar ruwa

IP Source Guard yana ƙirƙirar IP+VLAN+MAC+ Port daurin

Keɓewar tashar jiragen ruwa

MAC adireshin dauri zuwa tashar jiragen ruwa da MAC adireshin tace

IEEE 802.1x da amincin AAA/Radius

Tsaro na Na'ura Harin Anti-DOS (kamar ARP, Synflood, Smurf, harin ICMP), ganowar ARP, tsutsa da harin tsutsa na Msblaster

SSHv2 Secure Shell

Gudanarwar ɓoyewar SNMP v3

Tsaro IP shiga ta Telnet

Sarrafa juzu'i da kariyar kalmar sirri na masu amfani

Tsaron Sadarwa MAC na tushen mai amfani da jarrabawar zirga-zirgar ARP ta Ƙuntata zirga-zirgar ARP na kowane mai amfani da tilasta fitar da mai amfani tare da zirga-zirgar ARP mara kyau.

Dauri na tushen tebur na ARP

IP+VLAN+MAC+Tsarin tashar jiragen ruwa

Tsarin tacewa L2 zuwa L7 ACL akan 80 bytes na shugaban fakitin da aka ayyana

Watsa shirye-shirye na tushen tashar jiragen ruwa / matsewar multicast da tashar haɗarin rufewa ta atomatik

URPF don hana adireshin IP na jabu da kai hari

DHCP Option82 da PPPoE+ suna loda wurin mai amfani na zahiri Tabbataccen rubutu na fakitin OSPF, RIPv2 da BGPv4 da MD5

Tabbatar da cryptograph

IP Routing IPv4 ARP ProxyDHCP Relay

DHCP Server

Tsayayyen Hanyar Hanya

RIPv1/v2

OSPFv2

BGPv4

Daidaitaccen Hanyar Hanya

Dabarun Hanyar Hanya

IPv6 Saukewa: ICMPv6ICMPv6

DHCPv6

Bayanin ACLv6

OSPFv3

RIPng

BGP4+

Kafaffen Tunnels

ISATAP

6 zuwa 4 Tunnels

Dual tari na IPv6 da IPv4

Siffofin Sabis ACL Daidaitaccen da tsawaita ACLTime Range ACL

Rarraba rabe-rabe da ma'anar kwarara bisa tushen / adireshin MAC, VLAN, 802.1p, ToS, DiffServ, adireshin tushen / makomar IP (IPv4 / IPv6), lambar tashar tashar TCP / UDP, nau'in yarjejeniya, da sauransu.

tacewa fakiti na L2 ~ L7 mai zurfi zuwa 80 bytes na shugaban fakitin IP

QoS Rate-iyaka zuwa fakitin aikawa / karɓar saurin tashar jiragen ruwa ko ƙayyadaddun kwararar kai da samar da saka idanu na gabaɗaya da mai duba launi uku-gudu na ƙayyadaddun ƙayyadaddun ƙayyadaddun ƙayyadaddun ƙayyadaddun ƙayyadaddun ƙayyadaddun bayanai zuwa tashar jiragen ruwa ko ƙayyadaddun ƙayyadaddun kai da samar da 802.1P, DSCP

fifiko da Magana

CAR (Ƙaramar Samun Ƙarfin Ƙarfafa), Ƙirar Tafiya da Ƙididdiga masu gudana

Madubin fakiti da jujjuyawar dubawa da ƙayyadaddun kwarara

Babban mai tsara jerin gwano bisa tashar jiragen ruwa ko ƙayyadaddun kwarara.Kowane tashar jiragen ruwa/

kwarara yana goyan bayan layukan fifiko 8 da mai tsara tsarin SP, WRR da

SP+WRR.

Hanyar guje wa cunkoso, gami da Tail-Drop da WRED

Multicast IGMPv1/v2/v3IGMPv1/v2/v3 Snooping

Tace IGMP

MVR da ƙetare VLAN multicast kwafin

IGMP Saurin barin

Wakilin IGMP

PIM-SM/PIM-DM/PIM-SSM

PIM-SMv6, PIM-DMv6, PIM-SSMv6

MLDv2/MLDv2 Snooping

Abin dogaro Kariyar Madauki EAPS da GERP (lokacin dawowa <50ms) Gano dawo da baya
Kariyar haɗin gwiwa FlexLink (lokacin dawowa <50ms) RSTP/MSTP (lokacin dawowa <1s)

LACP (lokacin dawowa <10ms)

BFD

Kariyar Na'ura VRRP mai masaukin baki 1+1 madadin zafi mai zafi
Kulawa Kulawar hanyar sadarwa Port real-lokaci, amfani da watsawa/karba ƙididdiga bisa TelnetRFC3176 sFlow bincike

LLDP

802.3ah Ethernet OAM

Bayanan Bayani na RFC3164BSD

Ping da Traceroute

Gudanar da Na'ura CLI, tashar jiragen ruwa na Console, TelnetSNMPv1/v2/v3

RMON (Saƙon nesa)1, 2, 3, 9 ƙungiyoyi MIB

NTP

NGBNView Gudanarwar cibiyar sadarwa

Amfani

EPON: OLT yana bin ka'idodin fasaha na IEEE802.3ah da China telecom.(YD/T 1475-2006)

Ƙarfin: Kowane PON yana tallafawa har zuwa tashoshi 64, duka na'urar tana tallafawa har zuwa 256 ONU a ƙarƙashin cikakken tsari.

Uplink: goyan bayan na'urorin lantarki da na gani, ana iya daidaita su cikin sassauƙa bisa ga hanyar sadarwa daban-daban.

Girma: 1U kaset yana adana sarari, ƙarancin wutar lantarki da adana farashi.

Kariyar Layin gani: goyan baya canzawa ta atomatik lokacin da aka cire layin.

Babban dogaro: yana goyan bayan samar da wutar lantarki biyu (Tsoffin wutar lantarki guda ɗaya).