Uluai FTTH ZTE GPON OLT ZXA10 C300 Optical Line Terminal

I le feagai ai ma le faʻateleina o manaʻoga mo le maualuga o le bandwidth ma le tele o taʻaloga, e tatau i tagata faʻatautaia ona faia se paleni i le va o le tamaoaiga ma le tele o taʻavale.O le fa'afefea ona sologa lelei mai le FTTC, FTTB ma le FTTCab i le FTTH ose lu'itau i luma o telefoni i aso nei.O lo'o mafaufau le aufaipisinisi e fausia se feso'ota'iga PON e lanu meamata, fa'amaonia i le lumana'i ma sefe TCO.

O le ZXA10 C300, o le fa'ailoga muamua o le lalolagi i le lumana'i ma le tele o le gafatia o le fa'aogaina o le fa'aogaina o masini, ua atia'e ma le tele o fa'aoga avanoa fa'apitoa fa'apea ma le tamaoaiga i le mafaufau.O ana galuega mamana ma le maualuga o le fa'atinoga e fa'afaigofie ai le ta'avale FTTx tele nai lo se isi lava taimi muamua.

Fa'amatalaga

I le feagai ai ma le faʻateleina o manaʻoga mo le maualuga o le bandwidth ma le tele o taʻaloga, e tatau i tagata faʻatautaia ona faia se paleni i le va o le tamaoaiga ma le tele o taʻavale.O le fa'afefea ona sologa lelei mai le FTTC, FTTB ma le FTTCab i le FTTH ose lu'itau i luma o telefoni i aso nei.O lo'o mafaufau le aufaipisinisi e fausia se feso'ota'iga PON e lanu meamata, fa'amaonia i le lumana'i ma sefe TCO.

O le ZXA10 C300, o le fa'ailoga muamua o le lalolagi i le lumana'i ma le tele o le gafatia o le fa'aogaina o le fa'aogaina o masini, ua atia'e ma le tele o fa'aoga avanoa fa'apitoa fa'apea ma le tamaoaiga i le mafaufau.O ana galuega mamana ma le maualuga o le fa'atinoga e fa'afaigofie ai le ta'avale FTTx tele nai lo se isi lava taimi muamua.

Vaega Autu

Tulaga fa'atasi mo GPON/XGPON1 ma P2P
Tele le gafatia ma le maualuga maualuga: fa'afeiloa'i tagata fa'afoe manaoga mo misa opitika avanoa fa'alauiloa
Faʻamaoniga i le lumanaʻi: lagolago NG-PON, pei XGPON1, TWDM PON
Le tele o auaunaga lagolago gafatia: IPTV, VoIP,HSI, VPN, telefoni feaveai, ma isi
Fesoʻotaʻiga eseese: E mafai foʻi ona tuʻuina atu fesoʻotaʻiga P2MP, P2P ma TDM mo pisinisi mafale nofo talosaga
Fa'aleleia galuega fa'asalalau tele: fa'afetaui le tele IPTV fa'alauiloa
Fa'amautinoaga saogalemu maualuga: ONT fa'amaoni, fa'ailoga ID fa'aoga, fa'aesea o le taulaga, fa'amauina o tuatusi, fa'amama fa'aputu, ma fa'atapula'aina o fa'asalalauga.
Tu'ufa'atasiga o Au'aunaga: Matā'upu QoS mo leo, vitiō ma maualuga Initaneti televave auaunaga.
Maualuluga le fa'atuatuaina: fa'aleaogaina vaega autu;lagolago Ituaiga B ma Ituaiga C puipuiga mo PON downlink ma LACP/ STP/UAPS/ERPS mo uplink
Lagolago 1588V2 ma Synchronous Ethernet
Lagolago fa'apipi'i OTDR i totonu SFP
 Tulaga fa'atasi mo GPON/XGPON1 ma P2P
 Tele le gafatia ma le maualuga maualuga: fa'afeiloa'i tagata fa'afoe manaoga mo misa opitika avanoa fa'alauiloa
 Faʻamaoniga i le lumanaʻi: lagolago NG-PON, pei XGPON1, TWDM PON
 Le tele o auaunaga lagolago gafatia: IPTV, VoIP,HSI, VPN, telefoni feaveai, ma isi
 Fesoʻotaʻiga eseese: E mafai foʻi ona tuʻuina atu fesoʻotaʻiga P2MP, P2P ma TDM mo pisinisi mafale nofo talosaga
 Fa'aleleia galuega fa'asalalau tele: fa'afetaui le tele IPTV fa'alauiloa
 Fa'amautinoaga saogalemu maualuga: ONT fa'amaoni, fa'ailoga ID fa'aoga, fa'aesea o le taulaga, fa'amauina o tuatusi, fa'amama fa'aputu, ma fa'atapula'aina o fa'asalalauga.
 Tu'ufa'atasiga o Au'aunaga: Matā'upu QoS mo leo, vitiō ma maualuga Initaneti televave auaunaga.
 Maualuluga le fa'atuatuaina: fa'aleaogaina vaega autu;lagolago Ituaiga B ma Ituaiga C puipuiga mo PON downlink ma LACP/ STP/UAPS/ERPS mo uplink
 Lagolago 1588V2 ma Synchronous Ethernet

 Lagolago fa'apipi'i OTDR i totonu SFP

 Faiga Fa'ataina
Fa'atonuga o Chassis (21”)
ØAofa'i 23 avanoa
Ø16 avanoa mo laina lautele kata
Ø2 avanoa mo ki ma pulea kata
Ø2 avanoa mo le mana kata
Ø2 avanoa mo uplink ma cascading feso'ota'iga
Ø1 avanoa mo le sailia o le siosiomaga kata
Fa'atonuga o Chassis (19”)
ØAofa'i 21 avanoa
Ø14 avanoa mo laina lautele kata
Ø2 avanoa mo ki & pulea kata
Ø2 avanoa mo le mana kata
Ø2 avanoa mo uplink ma cascading feso'ota'iga

Ø1 avanoa mo le sailia o le siosiomaga kata

Fa'amatalaga Fa'apitoa

nFaiga Fa'ataina

Fa'atonuga o Chassis (21")

Aofa'i 23 avanoa
16 avanoa mo kata laina lautele
2 avanoa mo kiki & kata pulea
2 avanoa mo kata eletise
2 avanoa mo fesoʻotaʻiga i luga ma fesoʻotaʻiga
1 avanoa mo kata e iloa ai le siosiomaga

Fa'atonuga o Chassis (19")

Aofa'i 21 avanoa
14 avanoa mo kata laina lautele
2 avanoa mo kiki & pule kata
2 avanoa mo kata eletise
2 avanoa mo fesoʻotaʻiga i luga ma fesoʻotaʻiga
1 avanoa mo kata e iloa ai le siosiomaga
Malosi o le Pepa Fa'asalalau
GPON pepa: 8/16 ports i kata
P2P card: 24/48 ports i le kata
XG PON1 pepa: 8 ports i kata

Uplink interface card

4*10GE uplink i kata
2*10GE+2*GE uplink i kata
4*GE uplink ile kata
1*STM-4/OC12 po'o le 2*STM-1/OC3 TDM

uplink ile kata

32* E1/T1 uplink card (paleni ma le paleni)

Kata su'esu'e si'osi'omaga

2 * Hz/Bit fa'aoga uati fafo
5 * Siosiomaga su'esu'eina feso'ota'iga

nPON Vaega

GPON e tusa ai ma le ITU G.984.x
P2P fa'amalieina ma le ITU G.985/G.986
XG-PON1 e fa'atatau ile ITU G.987.x ma le G.988
Lagolago e oʻo atu i le 1:128 vaeluaga faʻavasega mo xPON
Lagolago le OLS (Optical Laser Supervising)
A'afia fa'aletino: 20km
DBA sili ona lelei: NSR-DBA,SR-DBA
GPON Transceiver mana: Vasega B + poʻo le vasega C +
XG PON1 Transceiver mana: Vasega N1 ma N2a
Ituaiga B ma ituaiga C puipuiga so'otaga opitika
Lagolago FEC
Lagolago AES128
Lagolago SCB
GPON MCM(Fa'asalalauga Tele-kopi)
Lagolago 1550nm mo CATV isi vaega

nL2/L3 Vaega

4K VLAN
1:1/N:1 VLAN
802.1ad, SVLAN, QinQ Filifilia, VLAN fa'aputu
Laina-saosaoa agai i luma
STP/RSTP/MSTP tausisia IEEE

802.1d/802.1w/802.1s

So'otaga fa'atasi IEEE 802.3ad
DHCP server, DHCP Relay (filifiliga 60/82), sui DHCP
IPv4/IPv6 faaputuga lua

L3 auala:

Fa'asologa o fuainumera mo IPv4 ma IPv6
OSPFV2, RIPv1/v2, BGPV4
RMON II

Telecast - IPTV

1K Multicast vaega
IGMP Snooping ma sui (v1/v2/v3)
MLD V1/V2, su'e/so'o
MVLAN: 256 Telecast VLAN
Auala Avanoa Pulea (CAC), Va'aiga (PRV) ma Fa'amaumauga Fa'amatalaga Valaau (CDR) mo IPTV
E itiiti ifo i le 50ms le fa'atuai o le fa'aoga o le alaleo

QoS

8 laina i le uafu
Tulaga & fa'atulagaina faiga: SP, DWRR, SP+DWRR
Fa'ailoga DSCP ma toe fa'ailoga

Fa'avasegaina o vaitafe, fa'atapula'aina o fua faatatau, fa'atulagaina ma fa'atūina le fa'amuamua•Ta'avale fuainumera

Au'aunaga fa'avae tau tapula'a

WRED ma tolu lanu

SLA: CIR, PIR, EIR
Saogalemu
ØL2- L4 ACL
ØIP ma MAC puna leoleo
ØTetee faasaga i le DOS osofa'iga
ØMAC/IP anti-spoofing (MAC fusifusia, fusifusia IP, DHCP fa'afefe)
ØAnti-lolo: fa'asalalauga fa'amama fa'aputu, fa'amama fa'aputu IGMP, fa'aputuga fa'aputu DHCP
ØFa'atatau ole numera ole tuatusi MAC on VLAN poʻo GEM-taulaga poʻo xGEM-taulaga
ØTaulaga fa'ata
ØFa'ailoga tagata fa'aoga uafu faapena e pei o le PPPOE + ma le DHCP Filifiliga 82


O&M

Puipuiga o le tagata faigaluega, Fa'apitoa-teletagata faigaluega,SSH, FTP/TFTP, ACL
Faiga fa'atonutonu ma fa'aoga: CLI,Telenet ,SNMP V1/V2C/V3, MIBII
La'uina mai le firmware mamao ma fa'aleleia

Siosiomaga su'esu'e, pulea ma fa'ailo

Siosiomaga

Vevela o galuega: –40°C ~65°C
Susū galue: 5% ~ 95%

Ole mamafa ole ea: 70 kPa – 106 kPa

 Malosiaga Sapalai

 DC: -48V+/-20%,-60V+/-20%;

AC: 100V~240V

Fua

86.1mm (H) * 482.6mm(W)* 270mm (D)
(19 inisi fata)
2200 mm (H) x 600 mm(W)x 300 mm ((D) (Fata)

1035 mm(H) x 770mm(U)x 460 mm(D) (Fafo Kapeneta)

Ta'avale fuainumera

Au'aunaga fa'avae tau tapula'a

WRED ma tolu lanu

SLA: CIR, PIR, EIR

Saogalemu

ØL2- L4 ACL

ØIP ma MAC puna leoleo

ØTetee faasaga i le DOS osofa'iga

ØMAC/IP anti-spoofing (MAC fusifusia, fusifusia IP, DHCP fa'afefe)

ØAnti-lolo: fa'asalalauga fa'amama fa'aputu, fa'amama fa'aputu IGMP, fa'aputuga fa'aputu DHCP

ØFa'atatau ole numera ole tuatusi MAC on VLAN poʻo GEM-taulaga poʻo xGEM-taulaga

ØTaulaga fa'ata

ØFa'ailoga tagata fa'aoga uafu faapena e pei o le PPPOE + ma le DHCP Filifiliga 82